电工技术基础_电工基础知识_电工之家-电工学习网

欢迎来到电工学习网!

逻辑功用的硬件言语描写(HDL)

2017-04-03 10:34分类:电工考证知识 阅读:

 

一、硬件言语描写简述

用分外的言语来描写数字体系的逻辑功用,这种言语能仿真硬件电路的功用,电路之间的联接,时序联络等等,能彻底仿真数字体系的逻辑功用。它能够说是硬件电路的“软化”,或说成是用软件替代硬件。

二、逻辑功用怎样用硬件言语描写

现举例阐明:

例:如一个四位二进制数A4A3A2A1,试计划一个差异电路,当四位二进制数大于等于2,小于等于十时,输出为“1”,其它为逻辑“0”。

解:用卡诺图标明并化简后逻辑式为:

用ABEL—HDL言语描写如下:

经ABEL言语编译器编译后的简化式子如下, 式中的&, #,!, 逻辑与,或和逻辑非:Z=(!A3&A2&!A1#A4&!A3&!A2#!A4&A3#!A4&A2)
将ABEL硬件描写言语进行逻辑仿真后,得到波形如下面所示:

上一篇:共发射极拓宽电路的构成

下一篇:电压拓宽倍数的丈量

相关推荐

电工推荐

    电工技术基础_电工基础知识_电工之家-电工学习网
返回顶部